ロジックアナライザ & パターンジェネレータロジックアナライザ & パターンジェネレータ

デジタルシステムの信号解析、
タイミングやプロトコルの問題を診断、テストパターンの生成

Mokuシリーズの「 ロジックアナライザ & パターンジェネレータ 」は、
統合されたプロトコルアナライザ & パターンジェネレータを使用して、
完全なデジタル回路の設計・解析、またカスタム設計を迅速かつ効率的にデバッグすることが可能です。

Moku:Goでは、最大 125 MSa/s のサンプリングレートを持つ、 16 chの双方向デジタル I/O が装備されています。
Moku:Lab ・ Moku:Proでは、デバイスのアナログ入出力ポートを利用して、
デジタルシステムを刺激、監視、キャプチャ、およびデバッグします。

主な使用目的

デジタル回路のデバッグ

デジタル通信のエラー分析

システムのタイミング分析

 

\ アプリの「デモモード」で操作感を試してみる /


特長

パターンとプロトコルを迅速に生成・分析

内蔵の 16 bit パターンジェネレータを使用して、標準またはカスタムのマルチピンパターンを出力できます。プロトコルデコーダを追加して、UART、SPI、I2C、I2S、CAN、またはパラレルバスプロトコルの応答を分析します。

カスタムデジタルデザインの簡単なデバッグ

マルチインスツルメントモードでは、Moku Cloud Compile とロジックアナライザ & パターンジェネレータを、独立した計測器スロットに配置することができます。

複数の形式でデータをエクスポート

測定・生成されたパターンを、「.CSV」または「.MAT」ファイルとして、ワンクリックでコンピューターに直接エクスポートできます。


アプリケーション

カスタムデザインの
デバッグ

デジタル回路設計

デジタル通信診断

ICのテストと検証

プロトコルのデコード


仕様

モデル Moku:Go Moku:Lab Moku:Pro
I / O チャンネル 16 ch (DIO) 3 ch 最大 5 ch
ロジックアナライザー
- サンプリングレート
- メモリ深さ

125 MSa/s
1 M x 16

500 MSa/s
500 k x 3 | 250 k x 16 *1

1.25 GSa/s
190 k x 3 | 250 k x 16 *1
パターンジェネレーター
- サンプリングレート
- メモリ深さ

125 MSa/s
32,764 x 16

32,764 x 16 *1
32,764 x 16 *1

1.25 GSa/s *1
32,764 x 16 *1
技術資料
  • *1
    マルチインスツルメントモードのみ
ページトップへ